Simulink HDL Coder.

Genera il codice HDL dai modelli Simulink e dal codice MATLAB.
Scarica ora

Simulink HDL Coder. Classifica e riepilogo

Annuncio pubblicitario

  • Rating:
  • Licenza:
  • Shareware
  • Nome editore:
  • The MathWorks, Inc.
  • Sito web dell'editore:
  • Sistemi operativi:
  • Windows XP/2000/98
  • Dimensione del file:
  • N/A

Simulink HDL Coder. Tag


Simulink HDL Coder. Descrizione

Modifica Simulink HDL Coder Genera codice Bit-true, accurato, accurato, sintetizzabile VHDL e codice VHDL da modelli Simulink, grafici di stateflow e codice MATLAB incorporato. Il codice HDL generato automaticamente è di destinazione indipendente. Simulink HDL Coder Genera codice di verifica conforme al codice standard IEEE 1364-2001 e VHDL conforme allo standard IEEE 1076. Di conseguenza, è possibile verificare il codice HDL generato automaticamente utilizzando prodotti di verifica funzionali popolari, incluso Cadence® Incisive®, Mentor Graphics® Mellesim® e Synopsys® VCS®. È inoltre possibile mappare il codice HDL generato automaticamente in array di cancelli programmabili da campo (FPGAS) o circuiti integrati specifici dell'applicazione (ASICS) utilizzando strumenti di sintesi popolari, come altera® Quartus® II, il compilatore RTL di Cadence Encounter®, la precisione Mentor Graphics® ®, Sinopsys Design Compiler®, Synplicaty® Synplify® e XilinX® ISE . Simulink HDL Coder Genera anche banchi di test HDL che aiutano a verificare il codice HDL generato utilizzando gli strumenti di simulazione HDL. Caratteristiche principali Genera codice HDL sintetizzabile da Modelli Simulink e codice MATLAB incorporato per le implementazioni di DataPath Genera codice HDL sintetizzabile dai grafici di StateFlow per macchine per macchine finte e moore moore e implementazioni logiche di controllo Genera codice VHDL che è il codice conforme e verilog IEEE 1076 che è conforme a IEEE 1364-2001 Ti consente di creare modelli bit-true e accurati a ciclo che corrispondono alle tue specifiche di progettazione simulink Consente di selezionare da più implementazioni architettoniche HDL per blocchi comunemente usati Consente di specificare il sottosistema per la generazione del codice HDL Consente di riutilizzare il codice HDL IP esistente (con i prodotti EDA Simulator Link) Genera script di simulazione e sintesi


Simulink HDL Coder. Software correlato

zlib per linux.

zlib è progettato per essere uno scopo gratuito, in generale, legalmente non ingombrante ...

9 528KB

Scarica